Simulink HDL kodlayıcı

Simulink modellerinden HDL kodu oluşturun ve MATLAB kodu.
Şimdi İndirin

Simulink HDL kodlayıcı Sıralama ve Özet

Reklamcılık

  • Rating:
  • Lisans:
  • Shareware
  • Yayıncı adı:
  • The MathWorks, Inc.
  • yayıncı web sitesi:
  • İşletim sistemleri:
  • Windows XP/2000/98
  • Dosya boyutu:
  • N/A

Simulink HDL kodlayıcı Etiketler


Simulink HDL kodlayıcı Açıklama

Düzenleme tarafından Simulink HDL Kodlayıcı Simulink modelleri, Stateflow tablolar, ve Gömülü MATLAB kod bit gerçek, çevrim, doğru sentezlenebilir VERILOG ve VHDL kodu üretir. otomatik olarak HDL kodu hedef bağımsızdır. Simulink HDL Kodlayıcı Verilog kodu üretir IEEE 1364-2001, standart ve VHDL kodu ile uygun olmasını IEEE 1076 standardına uygundur. Sonuç olarak, Cadence® Incisive®, Mentor Graphics ModelSim® ve Synopsys® VCS® gibi popüler fonksiyonel doğrulama ürünlerinin kullanımına otomatik olarak oluşturulan HDL kodu doğrulayabilir. Ayrıca, bu tür Altera® Quartus® II Cadence Encounter® RTL derleyicisi, Mentor Graphics Precision gibi popüler sentez araçları kullanılarak alan programlanabilir geçit dizileri (FPGA) veya uygulamaya özel entegre devreler (ASIC) içine otomatik olarak HDL kodu eşleştirebilir ®, Synopsus Tasarım Compiler®, Synplicity® Synplify® ve Xilinx® İMKB . Simulink HDL Kodlayıcı de yardım HDL simülasyon araçları kullanılarak oluşturulan HDL kodu kontrol HDL test setleri oluşturur . Ana Özellikler veriyolu uygulamaları için Simulink modelleri sentezlenebilir HDL kodunu ve Gömülü MATLAB kod üretir Mealy ve Moore sonlu durum makineleri ve kontrol mantık uygulamaları için Stateflow grafiklerden sentezlenebilir HDL kodu üretir IEEE 1364-2001 uyumlu IEEE 1076 uyumlu ve Verilog kodu VHDL kodu Üretir olduğunu Eğer Simulink tasarım özelliklerine uyan bit gerçek ve döngü-doğru modeller oluşturmak sağlar Eğer sık kullanılan bloklar için birden HDL mimari uygulamaları arasından seçim sağlar Eğer HDL kod üretimi için alt sistemi belirtmenizi sağlar (EDA Simülatörü Bağlantı ürünleri ile) yeniden mevcut IP HDL kodu olanak sağlar simülasyon ve sentez komut üretir


Simulink HDL kodlayıcı İlgili Yazılım